用户工具

站点工具


ecc300
银杏科技有限公司旗下技术文档发布平台
技术支持电话0379-69926675-801
技术支持邮件Gingko@vip.163.com
购买链接点击购买
版本 日期 作者 修改内容
V0.1 20231016 XiaomaGee 初次建立

ECC300 异构双核心边缘控制器

基础资料下载

序 号 名 称 下载链接
1 原理图ECC300原理图V1.1.pdf
2 扩展引脚分布ECC300扩展引脚图V1.0.pdf
3 数据手册ECC300数据手册v1.0.pdf
4 出厂测试说明ECC300出厂测试说明v1.0.pdf
5 测试demoECC300出厂测试程序v1.0.zip
6 PCB库购买后提供
7 原理图符号购买后提供
8 ARM实验例程包购买后提供
9 FPGA实验例程包购买后提供

1 特性及资源

1、异构双核心:具有典型的串行处理器(ARM) + 并行逻辑(FPGA)两套独立功能单元,可同时完成复杂事务处理和并行计算、控制功能。

2、便捷性:使用100mm * 61.9mm的尺寸,既可与底板搭配,组成学习套件开发板,又可以将核心板嵌入到用户自己的系统中,简化用户自己的产品开发。

3、ARM:采用Cortex-M7内核的STM32H743XIH6,最高运行频率达 480MHz,双精度浮点单元,DSP指令。具有高性能、低功耗特点,适用于工业控制、人机界面、白色家电、电机驱动等应用领域。

4、FPGA:采用Altera公司经典飓风四代FPGA EP4CE115F23I7N,内置4个锁相环、114480个逻辑单元、532个M9K块,484个引脚,适用于大多数测试测量、数据采集、接口通信、协议栈转换、自动控制等应用。

5、电源特性:采用专业集成电源管理芯片,ARM、FPGA采用独立电源供电,保证ARM、FPGA安全稳定工作。

6、资源丰富:

  [1]ARM内置2M Bytes Flash,1M Bytes RAM,且外挂8M Bytes Flash和32M Bytes SDRAM,为计算、人机界面设计等提供了大量缓存;
  [2]FPGA外挂2 * 128M Bytes DDR2内存;
  [3]内置高边电流检测,各路电压监控电路,可实时监控电源及功耗;
  [4]内置USB<-->UART,只需一根USB Type C线,即可实现便捷式调试终端,参数输出;
  [5]内置USB<-->OTG,支持USB2.0高速传输;
  [6]内置加密型EEPROM;
  [7]内置温度传感器芯片;
  [8]内置高精度基准电源及16位片内ADC;
  [9]内置13pin调试接口,通过转接板接下载器可完成在线仿真、调试;
  [10]内置TF卡插座;易于存储扩展;
  [11]内置10pin 2.4寸TFT屏幕扩展接口,可接2.4寸屏幕显示信息;
  [12]内置32pin LCD扩展接口,可用于银杏科技标准显示模块;
  [13]内置CAN通信接口;
  [14]内置RS-232通信接口;
  [15]内置RS-485/RS-422通信接口;
  [16]板对板连接器扩展 UART;
  [17]板对板连接器扩展 I2C接口;
  [18]板对板连接器扩展 RGB565接口
  [19]板对板连接器扩展 CAN;
  [20]板对板连接器扩展 网口;
  [21]板对板连接器扩展 ARM IO 55个;
  [22]板对板连接器扩展 FPGA IO 176个。
  
  

7、应用领域:测试测量、自动控制、数据采集、运动控制、人机界面、白色家电、电机驱动、工程机械、物联网节点、个人DIY。

2 DEMO代码及测试结果

DEMO 代码包下载 待更新

ECC300 Demo 测试手册 待更新

3 ARM实验例程(基于HAL库)

例程一 认识STM32——环境搭建与调试下载
例程二 GPIO输出实验——ARM驱动LED
例程三 UART通信实验——通过命令控制LED
例程四 SYSTICK定时器实验——定时闪烁LED
例程五 通用定时器实验——定时点亮LED
例程六 IWDG看门狗实验——复位ARM
例程七 WWDG看门狗实验——复位ARM
例程八 定时器PWM实验——呼吸灯
例程九 ADC实验——电源监控
例程十 RTC实时时钟实验——显示日期和时间
例程十一 DMA实验——储存器到存储器的传输
例程十二 I2C通信实验——温度监控
例程十三 RSD单总线通信实验——读写EEPROM
例程十四 SPI通信实验——读写测试SPI FLASH
例程十五 SDRAM实验——读写测试SDRAM
例程十六 FSMC实验——读写FPGA
例程十七 SDIO实验——读取SD卡信息
例程十八 FATFS实验——文件操作
例程十九 STM32产生随机数实验
例程二十 DSP_MATH实验
例程二十一 USB_CDC实验——高速数据传输
例程二十二 USB_HID实验——双向数据传输
例程二十三 USB_MSC实验——读/写U盘(大容量存储器)
例程二十四 USB_VCP实验——虚拟串口
例程二十五 USB_MSC实验——虚拟U盘
例程二十六 LAN_TCP_CLIENT实验——以太网数据传输
例程二十七 LAN_TCP_SERVER实验——以太网数据传输
例程二十八 LAN_UDP实验——以太网数据传输
例程二十九 LAN_HTTP实验——网页服务器
例程三十 LAN_DHCP实验——动态分配IP
例程三十一 LAN_DNS实验——域名解析
例程三十二 LAN_MODBUS_TCP实验——电源监控
例程三十三 LAN_NETIO实验——以太网测速
例程三十四 SD_IAP_FPGA实验——更新升级FPGA
例程三十五 U_DISK_IAP_FPGA实验——更新升级FPGA
例程三十六 HTTP_IAP_FPGA实验——更新升级FPGA
例程三十七 UART_IAP_ARM实验——更新升级STM32
例程三十八 SD_IAP_ARM实验——更新升级STM32
例程三十九 U_DISK_IAP_ARM实验——更新升级STM32
例程四十 HTTP_IAP_ARM实验——更新升级STM32
例程四十一 RS_232通信实验——收发测试
例程四十二 RS_485通信实验——收发测试
例程四十三 RS_422通信实验——收发测试
例程四十四 Modbus-RTU实验——电源温度监控
例程四十五 CAN通信实验——收发测试
例程四十六 BootROM实验——Ext SDRAM运行
例程四十七 LCD实验——驱动4.3寸液晶屏
例程四十八 LCD实验——驱动7寸液晶屏
例程四十九 TOUCH实验——4.3寸电阻触摸
例程五十 TOUCH实验——7寸电阻触摸
例程五十一 TOUCH实验——4.3寸电容触摸
例程五十二 TOUCH实验——7寸普清电容触摸
例程五十三 TOUCH实验——7寸高清电容触摸

4 FPGA实验例程

例程一 认识FPGA——开发环境的搭建
例程二 GPIO输出实验——点亮LED
例程三 引脚配置实验——驱动LED
例程四 Signal Tapll实验——逻辑分析仪
例程五 基础逻辑门实验——逻辑门使用
例程六 乘法器实验——乘法器的使用
例程七 锁相环实验——锁相环的使用
例程八 复位控制实验
例程九 状态机实验——段式状态机描述
例程十 状态机实验——两段式状态机描述
例程十一 状态机实验——三段式状态机描述
例程十二 3-8译码器实验——FPGA实现3-8译码器
例程十三 计数器实验——呼吸灯
例程十四 PWM输出实验
例程十五 FSMC总线通信实验——独立地址模式
例程十六 FSMC总线通信实验——复用地址模式
例程十七 基于USART的ARM与FPGA通信实验
例程十八 基于SPI总线的ARM与FPGA通信实验
例程十九 基于I2C总线的ARM与FPGA通信实验
例程二十 基于单口RAM的ARM+FPGA数据存取实验
例程二十一 基于双口RAM的ARM+FPGA数据存取实验
例程二十二 基于FIFO的ARM+FPGA数据存取实验
例程二十三 基于DDR2的ARM+FPGA数据存取实验
例程二十四 Modelsim仿真实验
例程二十五 Niosii——基于内部RAM建立第一个软核
例程二十六 使用JTAG UART终端打印信息
例程二十七 NIOS II之UART串口通信实验
例程二十七 AS模式配置FPGA实验

5 RTT实验例程

例程一 RT-Thread介绍
例程二 构建最简BSP工程,包含UART、PIN
例程三 RT-Thread启动过程
例程四 线程的创建和删除(动态方法)
例程五 线程的初始化和脱离(静态方法)
例程六 软件定时器的创建与删除(动态方法)
例程七 软件定时器的初始化和脱离(静态方法)
例程八 软件定时器的辅助函数
例程九 信号量的创建和删除(动态方法)
例程十 信号量的初始化和脱离(静态方法)
例程十一 信号量的使用和管理
例程十二 互斥量的创建和删除(动态方法)
例程十三 互斥量的初始化和脱离(静态方法)
例程十四 互斥量的使用和管理
例程十五 事件集的创建和删除(动态方法)
例程十六 事件集的初始化和脱离(静态方法)
例程十七 事件集的使用和管理方法
例程十八 邮箱的创建和删除(动态方法)
例程十九 邮箱的初始化和脱离(静态方法)
例程二十 邮件的使用和管理方法
例程二十一 消息队列的创建和删除(动态方法)
例程二十二 消息队列的初始化和脱离(静态方法)
例程二十三 消息队列的使用和管理方法
例程二十四 内存池的创建和删除(动态方法)
例程二十五 内存池的初始化和脱离(静态方法)
例程二十六 内存池的使用和管理方法
例程二十七 钩子函数的应用
例程二十八 添加ADC设备驱动,监控设备电源
例程二十九 添加I2C设备,挂载SHT31温湿度设备
例程三十 SPI通信实验——读写测试SPI FLASH
例程三十一 添加USB驱动,挂载U盘
例程三十二 SDIO实验——读取SD卡信息
例程三十三 添加文件系统
例程三十四 添加SDRAM设备驱动,读写外部SDRAM
例程三十五 LAN_TCP_CLIENT实验——以太网数据传输
例程三十六 LAN_TCP_SERVER实验——以太网数据传输
例程三十七 LAN_UDP实验——以太网数据传输
例程三十八 LAN_DHCP实验——以太网访问
例程三十九 添加TFT驱动,驱动2.4寸液晶屏
例程四十 添加LTDC驱动,驱动4.3寸液晶屏
例程四十一 添加电容触摸驱动,读取触摸数据
例程四十二 驱动7寸普清电容触摸屏幕
例程四十三 驱动7寸高清电容触摸屏幕
例程四十四 添加FSMC驱动,读写FPGA

6 LVGL实验例程

例程一 LVGL的移植
例程二 LVGL最简工程介绍
例程三 Object对象
例程四 lv_obj基础对象
例程五 lv_label标签
例程六 lv_btn按钮
例程七 Events事件(Overview)
例程八 Layers图层(Overview)
例程九 lv_led指示灯
例程十 lv_bar进度条
例程十一 lv_slider滑块
例程十二 lv_cb复选框
例程十三 lv_switch开关
例程十四 lv_arc弧形示指器
例程十五 lv_spinner缓冲标志
例程十六 lv_meter刻度指示器
例程十七 lv_line线条
例程十八 Fonts字体(Overview)
例程十九 lv_font中文内部字体、字符
例程二十 lv_list列表
例程二十一 lv_dropdown下拉列表
例程二十二 lv_roller滚轮列表
例程二十三 lv_table表格
例程二十四 lv_tabview选项卡
例程二十五 lv_win窗体
例程二十六 lv_spinbox微调框
例程二十七 lv_btnmatrix矩阵按钮
例程二十八 lv_chart图表
例程二十九 lv_keyboard键盘
例程三十十 lv_textarea文本域
例程三十一 lv_calendar日历
例程三十二 lv_canvas画布
例程三十三 lv_msgbox消息对话框
例程三十四 lv_tileview拼接视图
例程三十五 lv_style样式
例程三十六 Images图像
例程三十七 lv_img图片
例程三十八 lv_imgbtn图片按钮
例程三十九 Input devices输入设备
例程四十 Displays显示设备
例程四十一 Animations动画
例程四十二 Drawing绘制原理
例程四十三 Scroll滚动
例程四十四 Colors颜色
例程四十五 Timers定时器
例程四十六 LVGL综合例程一
例程四十七 LVGL综合例程二
例程四十八 7寸屏LVGL移植
ecc300.txt · 最后更改: 2024/04/13 15:26 由 zhaowenzhe